HOME

Układy arytmetyczne, operacje arytmetyczne w kodzie ZM, ZU1, ZU2, sumator.


Spis treści:

  1. Wstep
  2. Program ćwiczenia
  3. Zawartość ćwiczenia
    1. Sumatory jednobitowe
    2. Sumatory wielobitowe równoległe
    3. Dodawanie i odejmowanie wielobitowe równoległe
    4. Komparatory
  4. Spis literatury

  1. Wstęp

    Urządzenia cyfrowe takie jak: kalkulator, maszyna cyfrowa, cyfrowy przyrząd pomiarowy itp. realizują swoje działanie na podstawie pewnych charakterystycznych (zaprogramowanych) operacji, głównie arytmetycznych i logicznych. W operacjach tych biorą udział: sumatory, komparatory, rejestry.
    Sumatory dzielimy na:
    1. dwójkowe, gdzie działania wykonuje się na liczbach dwójkowych,
    2. dziesiętne, wykonujące działania na liczbach dziesiętnych kodowanych dwójkowo.

    Gdy za kryterium podziału przyjmuje się sposób podawania składników sumy, wtedy można wyróżnić sumatory:
    1. równoległe:
      • z przeniesieniem szeregowym,
      • z przeniesieniem równoległym,
    2. szeregowe.

    Komparatory służą do porównywania liczb binarnych w procesie wykonywania operacji arytmetycznych i logicznych, wyróżnić można dwa zasadnicze typu komparatorów:
    1. komparatory iteracyjne,
    2. komparatory kombinacyjne.


  2. Program ćwiczenia:

    1. Zmontować układ z rys. 11.3, sprawdzić jego działanie dla operacji dodawania i odejmowania.
    2. Zbudować czterobitowy sumator dwójkowy dodająco-odejmujący pracujący według zadanego przez prowadzącego ćwiczenie algorytmu.
    3. Zbudować wielobitowy sumator dwójkowo-dziesiętny liczb BCD pracujący w zapisie "znak-uzupełnienie do 9".
    4. Zbudować zadany (czterobitowy) komparator równoległy i opisać jego działanie.
    5. Zbudować zadany (czterobitowy) komparator iteracyjny, opiasć jego działanie. Porównać stopień złożoności rozwiązań obu komparatorów ( z pkt. 5. i z pkt. 6).


  3. Zawartość ćwiczenia:

    1. Sumatory jednobitowe.

      Sumatory realizują sumę arytmetyczną dwu liczb binarnych. Dodanie dwu liczb dwójkowych (operandów) A i B ilustruje rys. 11.1.

      Ai BiSi Ci+1
      0
      0
      1
      1
      0
      1
      0
      1
      0
      1
      1
      0
      0
      0
      0
      1
      Rys. 11.1. Suma S i przeniesienie C dodawania jednobitowych liczb dwójkowych A i B

      Zmienna Si reprezentuje rezultat operacji, jej wartość jest sumą modulo 2 (exclusive OR) składników Ai, Bi i Ci. Zmienna Ci reprezentuje przeniesienie z pozycji młodszej sumatora wielopozycyjnego. Ci+1 jest przeniesieniem do pozycji starszej. Ci nie występuje w tzw. pólsumatorze, obecność Ci daje sumator pełny. Z wartości przedstawionych na rys. 11.1 można określić wyrażenia logiczne dla półsumatora:
      - suma Si = Ai-Bi + ~AiBi = Ai (+) Bi,
      - przeniesienie Ci+1 = AiBi.
      Zasada działania sumatora pełnego została opisana siatkami Karnaugha przedstawionymi na rys. 11.2.


      Rys. 11.2. Sumator dwuargumentowy jednobitowy: a) symbol, b) siatka zależności dla sumy, c) siatka zależności dla przeniesienia

      Z siatek tych otrzymujemy zależności:
      • suma Si = Ci(AiBi + ~Ai~Bi) + ~Ci(Ai~Bi + ~AiBi)=
        = Ci ~(Ai (+) Bi) + ~Ci(Ai (+) Bi) = Ci (+) Bi (+) Ai,
      • przeniesienie Ci+1 = AiBi + AiCi + BiCi.
      Schemat sumatora pełnego (dwuargumentowego) z przeniesieniem z pozycji poprzedniej Ci oraz przeniesienie do pozycji wyższej Ci+1 przedstawiono na rys. 11.3a. Sumator jednobitowy pokazany na rys. 11.3b jest podstawową komórką występującą w sumatora średniej skali integracji: 480, 482 i 483.


      Rys. 11.3. Schemat logiczny sumatora pełnego dwuargumentowego (a), jednobitowego (b)

      Szczególnym przypadkiem sumatora jest układ realizujący odejmowanie dwu liczb dwójkowych. Wartości funkcji dla różnicy D i pożyczki V i-tej komórki sumatora odejmującego ilustruje tabela z rys. 11.4.


      Pożyczka
      Vi
      Odjemna
      Ai
      Odjemnik
      Bi
      Różnica
      Di
      Pożyczka
      Vi+1
      0
      0
      0
      0
      1
      1
      1
      1
      0
      0
      1
      1
      0
      0
      1
      1
      0
      1
      0
      1
      0
      1
      0
      1
      0
      1
      1
      0
      1
      0
      0
      1
      0
      1
      0
      0
      1
      1
      0
      1
      Rys. 11.4. Sumator jednobitowy odejmujący: a) symbol, b) siatka zależności, c) tabela zależności.

      Z siatki zależności przedstawionej na rys. 11.4c znajdujemy wyrażenia logiczne dla sumatora odejmującego. Jak można zauważyć, różnica Di jest identyczna z sumą Si , natomiast różne są wyrażenia dla pożyczki Vi+1 i przeniesienia Ci+1. Jeśli jednak w wyrażeniach opisujących działanie sumatora odejmującego zamiast Bi wstawić ~Bi, a zamiast Ci wstawić ~Vi, otrzymujemy: Ci+1 = ~Vi+1, Si=Di.
      Korzystając z tej własności, znajdujemy:
      Di = Vi (+)Ai(+)Bi,
      ~Vi+1=Ai~Bi + ~Vi(Ai+ ~Bi).
      Sumator może być zatem stosowany jako układ odejmujący po dokonaniu powyższych przełączeń.

    2. Sumatory wielobitowe równoległe.

      Ze względu na sposób wytwarzania przeniesień dzielimy je na:
      • sumatory z przeniesieniem szeregowym, zwane również sumatorami kaskadowymi albo iteracyjnymi,
      • sumatory z przeniesieniem równoległym.
      Sumator kaskadowy n-bitowy jest układem powstałym przez połączenie n sumatorów jednobitowych. Schemat blokowy takiego sumatora przedstawiono na rys. 11.5. Przy sumowaniu liczb dodatnich wejście przeniesienia początkowego C0 nie jest wykorzystywane (C0=0).


      Rys. 11.5. Schemat blokowy sumatora kaskadowego

      W sumatorze kaskadowym wszystkie cyfry dodawanych liczb dwójkowych podawane są na sumator jednocześnie. Czas uformowania się wyniku zależy od prędkości propagacji sygnału przeniesienia przez kolejne komórki sumatora. W najbardziej niekorzystnym przypadku sygnał C musi przejść przez wszystkie komórki sumatora. Czas sumowania można znacznie skrócić przez zastosowanie sumatora z równoległym przeniesieniem. Sumator z przeniesieniem równoległym generuje wszystkie wartości przeniesień jednocześnie na podstawie wartości na poszczególnych bitach obu operandów. Przeniesienie Ci+1 = AiBi + AiCi + BiCi = AiBi + (Ai+Bi)Ci można wyrazić w postaci: Ci+1= Gi+TiCi, gdzie: Gi=AiBi, Ti=Ai+Bi.
      Dla modułu czterobitowego i <{0,1,2,3}:
      C4=G3+T3G2+T3T2G1+T3T2T1G0+T3T2T1T0
      lub C4 = G + TC0,
      gdzie G= G3+T3G2+T3T2G1+T3T2T1G0; T=T3T2T1T0,
      gdzie:
      G - przeniesienie generowane w bloku,
      T - sygnał warunkujący transmisję przeniesienia początkowego C0.
      Wyrażenie dla sumy: Si = Ai (+) Bi(+)Ci można przekształcić do postaci:
      Si = Ci(+)~(AiBi)(Ai+Bi)= Ci (+) ~GiTi.
      Układy wielobitowe (nx4 bity) montuje się, wykorzystując powyższy sumator oraz expander, zwany generatorem przeniesień równoległych (4182). Ze względów praktycznych (minimalizacja struktury logicznej) zarówno w sumatorze, jak i generatorze przeniesień równoległych zamiast zmiennych G i T występują ich negacje ~G i ~T. Ze wzrostem ilości bitów przewaga sumatora z przeniesieniami równoległymi nad sumatorem z przeniesieniami kaskadowymi staje się coraz bardziej widoczna. Omówione wyżej sumatory mogą wykonywać oprócz operacji arytmetycznych również operacje logiczne. Możliwości te zostały bliżej omówione w ćwiczeniu 12. Sumator z przeniesieniami równoległymi jest zawarty w czterobitowym elemencie scalonym 4181, zwanym jednostką arytmetyczno-logiczną.

    3. Dodawanie i odejmowanie wielobitowe równoległe.

      Operacji dodawania i odejmowania równoległego liczb wielobitowych dokonuje się za pomocą sumatorów wielobitowych. Dodawanie dwu liczb binarnych odbywa się przez dodanie poszczególnych pozycji binarnych, z uwzględnieniem przeniesienia z każdej pozycji. Przy zapisie binarnym liczb pozycja pierwsza jest znakiem liczby. Znak + oznacza się zerem, a znak - jedynką. Po bicie znaku następuje przecinek, a następnie zadana liczba binarna (przeniesienie z bitu znaku pominąć).
      Oto przykłady operacji dodawania:
      +13 : 0,1101
      +  2 : 0,0010

      +15 : 0,1111
      -13 : 1,01101
      -  7 : 1,00111

      - 20: 1,10100
      Liczba pozycji sumatora jest określona na podstawie wyniku dodawania, nie może bowiem wystąpić jego przepełnienie. Podczas dyskusji odejmowania jednobitowego stwierdzono bardzo istotną zależność, że odejmowanie polega na dodawaniu negacji ( uzupełnienia do 1) odjemnika. Zasada ta jest również podstawą odejmowania wielobitowego. Operacje dodawania i odejmowania są zwykle realizowane w bloku arytmetycznym wykonującym też operacje mnożenia i dzielenia. W niniejszym rozdziale zostaną omówione trzy podstawowe algorytmy dodawania i odejmowania o różnym stopniu złożoności tych operacji.

      • Dodawanie w zapisie "znak- moduł".

        Operacje dodawania i odejmowania sprowadzono tu do operacji dodawania. Wyróżnia się trzy przypadki dodawania:
        1. dodawanie liczb o jednakowych znakach:
          +13 : 0,01101
          +  7 : 0,00111

          +20 : 0,10100

          -13 : 1,01101
          -  7 : 1,00111

          -20 : 1,10100
        2. dodawanie liczb o przeciwnych znakach; moduł dodajnej większy od modułu dodajnika:
          I

          + 13 : 0,01101
          -    7 : 1,00111

          + 6
          II

          -13 : 1,01101
          + 7 : 0,00111

          -  6
          do modułu dodajnej dodajemy moduł uzupełnienia do 1 (negację) dodajnika
          01101
          11000

          00101

          00110
          01101
          11000

          00101
          1

          00110
          wynikowy dodawania przypisujemy znak dodajnej; otrzymujemy:
          0,00110 : +6 1,00110 : -6

        3. dodawanie liczb o przeciwnych znakach; moduł dodajnika większy od modułu dodajnej:
          I

          +10 : 0,01010
          -13 : 1,01101


          II

          -10 : 1,01010
          +13 : 0,01101

          do modułu dodajnej dodajemy moduł uzupełnienia do 1 dodajnika, wynik otrzymuje znak dodajnika.
          01010
          10010

          11100

          01010
          10010

          11100
          z braku przeniesienia cyklicznego otrzymany wynik należy uzupełnić do 1 i nadać znak dodajnika.
          1,0011: -3
          0,00011: +3

      • Dodawanie w zapisie "uzupełnień do 1"

        różni się od poprzedniej metody tym, że wszystkie liczby ujemne są rejestrowane w zapisie "dopełnień do 1" i dodawane wraz z bitem znaku. W metodzie tej wyróżniono cztery przypadki:
        1. dodawanie liczb dodatnich jest takie samo jak w poprzedniej metodzie;
        2. dodawanie liczb ujemnych: liczby ujemne są przedstawione w zapisie "uzupełnień do 1" , dodawanie odbywa się wraz z bitem znaku:
          -10: 1,10101
          -13: 1,10010

          1,00111
          1

          1,01000



          dodajemy przeniesienie,

          wynikiem ostatecznym jest uzupełnienie do 1 modułu otrzymanej liczby z zachowaniem znaku; dostajemy:
          1,10111: -23
        3. dodawanie liczb o przeciwnych znakach ( wynik dodatni) :
          -10: 1,10101
          +13: 0,01101

          0,00010
          1





          przeniesienie z pozycji znaku,

          0,00011: + 3
        4. dodawanie liczb o przeciwnych znakach (wynik ujemny):
          +10: 0,01010
          -13: 1,10010

          1,11100
          Otrzymany wynik jest uzupełnieniem do 1 modułu otrzymanej liczby z zachowaniem znaku:
          1,00011 : -3.

      • Dodawanie w zapisie " uzupełnień do 2".

        Podczas dyskusji nad zasadami odejmowania jednobitowego stwierdzono bardzo istotną zależność, a mianowicie odejmowanie polega na dodaniu negacji odjemnika (~Bi). Drugi wniosek dotyczył pożyczki, a więc w miejsce przeniesienia Ci sumatora należy podać wartość ~Vi. Oznacza to, że pierwsza komórka sumatora w czasie odejmowania ma na wejściu Co jedynkę logiczną, czyli do najmniej znaczącej pozycji sumatora dodajemy wartość 1. Zasada ta została wykorzystana w algorytmie "uzupełnień do 2" . Liczby ujemne są zapisywane jako dopełnienie do 1 modułu plus 1 na pozycji najmniej znaczącej. Metoda ta sprowadza się właściwie do jednego przypadku: dodawania liczb z dowolnym znakiem. Liczby dodatnie są dodawane podobnie jak w poprzednich metodach.

        Liczby ujemne:

        -10 : 1,10101
        1

        -10 : 1,10110
        -13 : 1,10011

        1,01001

        : ~Vi




        bez dodawania przeniesienia cyklicznego.
        W przypadku gdy otrzymany wynik ma znak ujemny, odczyt wartości ostatecznej polega na uzupełnieniu do 2 modułu z zachowaniem znaku. Dostajemy:
        1,10111: -23.

        Dodawanie liczb o różnych znakach:

        +10 : 0,01010
        -13 : 1,10011

        1,11101
        -10 : 1,10110
        +13 : 0,01101

        0,00011



        : +3
        wynik ujemny: znajdujemy uzupełnienie do 2 modułu, otrzymamy: 1,00011 : -3 bez dodawania przeniesienia cyklicznego.

        Porównanie zapisów.

        W operacjach dodawania i odejmowania najprostszy jest zapis "uzupełnień do 2" ze względu na prostotę układów wykonujących te operacje. Pozostałe zapisy są mniej wygodne, przy czym zapis "znak-moduł" pozwala na prostą realizację operacji mnożenia i dzielenia. Z kolei zapis "uzupełnień do 2" jest przypadkiem pośrednim (kompromisowym). Wybór zapisu będzie oczywiście rzutował na konstrukcję układów wykonujących wspomniane operacje arytmetyczne.


    4. Komparatory.

      Komparatorem cyfrowym nazywamy układ służący do porównywania dwóch słów dwójkowych n-bitowych. Zgodność wszystkich pozycji porównywanych słów jest sygnalizowana wartością 1 na wyjściu komparatora. Czyli inaczej : komparator n-bitowy FA=B realizuje funkcję logiczną:
      F(A=B)= (A0B0+ ~A0~B0) * (A1B1+ ~A1B1)...(An-1Bn-1+ ~An-1Bn-1).


      Rys. 11.6. Schemat logiczny przykładowego rozwiązania komparatora

      Przykład realizacji komparatora przedstawiono na rys. 11.6. Do budowy tego komparatora użyto bramek NAND z pełnym wyjściem bramek NAND z otwartym kolektorem. Komparator n-bitowy można zaprojektować jako zwykły układ kombinacyjny lub prościej jako układ iteracyjny ( znacznie wolniejszy w działaniu). Komparatory oprócz sprawdzania, czy A=B, mogą dokonywać sprawdzenia A>B, AB itp. Zależnie od rodzaju porównania różna będzie konstrukcja komparatora. Niniejsze ćwiczenie omawia zasady projektowania obu podstawowych wersji komparatora wielobitowego: komparatora kombinacyjnego oraz iteracyjnego. Komparator kombinacyjny czterobitowy można zaprojektować na podstawie tablicy 11.1.

      WejściaWyjścia
      A4 , B4A3 , B3A2 , B2A1 , B1 A > BA < BA = B
      A4 > B4
      A4 < B4
      A4 = B4
      A4 = B4
      "
      "
      "
      "
      "
      -
      -
      A3 > B3
      A3 < B3
      A3 = B3
      A3 = B3
      "
      "
      "
      -
      -
      -
      -
      A2 > B2
      A2 < B2
      A2 = B2
      A2 = B2
      "
      -
      -
      -
      -
      -
      -
      A1 > B1
      A1 < B1
      A1 = B1
      1
      0
      1
      0
      1
      0
      1
      0
      0
      0
      1
      0
      1
      0
      1
      0
      1
      0
      0
      0
      0
      0
      0
      0
      0
      0
      1
      Tablica 11.1 Zasada działania komparatora kombinacyjnego

      Przyjmiemy oznaczenia:
      Xi=1 dla Ai>Bi
      Yi=1 dla Ai=Bi
      Zi=1 dla Ai<Bi
      oraz
      A={A0,A1,A2,A3},
      B={B0,B1,B2,B3}.
      xwe, ywe, zwe - wynik porównania na młodszych pozycjach komparatora n-bitowego,
      xwy,ywy, zwy - wynik porównania na wyjściu komparatora.
      Z tablicy 11.1 można otrzymać wyrażenia opisujące działanie komparatora:
      xwy= x3+y3x2 + y3y2x1 + y3y2y1x0 + y3y2y1y0xwe~ywe~zwe,
      ywy = y3y2y1y0~xwe ywe ~zwe,
      zwy = z3 + y3z2 + y3y2z1 + y3y2y1z0 + y3y2y1y0 ~xwe~ywe zwe.
      Uzyskaliśmy czterobitową komórkę komparatora kombinacyjnego (rys. 11.7), za pomocą której da się budować komparatory o większej liczbie bitów.


      Rys. 11.7. Komparator czterobitowy kombinacyjny: a) schemat blokowy, b) symbol

      W układzie występuje element Ki będący komóką jednobitową komparatora. komórkę Ki opisują następujące funkcje kombinacyjne: xi = Ai~Bi,
      yi = AiBi + ~Ai~Bi,
      zi = ~AiBi.
      Schemat blokowy komparatora iteracyjnego przedstawiono na rys. 11.8. Rys. 11.9 zawiera siatkę zależności i-tej komórki komparatora iteracyjnego. Wyjście Ci+1 komórki jest określone zależnością:
      Ci+1={ 1, gdy Ai>=Bi
      0, gdy Ai<Bi.
      Z siatki zależności można określić funkcję logiczną i-tej komórki komparatora:
      Ci+1= Ai~Bi + Ci~Bi + CiAi.


      Rys. 11.8. Schemat blokowy komparatora iteracyjnego czterobitowego.


      Rys. 11.9. Siatka zależności dla i-tej komórki komparatora iteracyjnego

  4. Literatura

    [1] W. Binkowski, H. Krzyż, J. Piecha: Elektronika analogowa i cyfrowa w zadaniach. Katowice Wydawnictwo Uniwersytetu śląskiego 1981.
    [2] J. Millman, C. Halkias: Układy scalone analogowe i cyfrowe. Warszawa WNT 1976.
    [3] P. Misiurewicz, M. Grzybek: Półprzewodnikowe układy logiczne TTL. Warszawa WNT 1979.
    [4] J. Kalisz: Cyfrowe układy scalone w technice systemowej. Warszawa MON 1977.
    [5] M. Łakomy, J. Zabrodzki: Cyfrowe układy scalone TTL. Warszawa PWN 1974.
    [6] J. Siwiński: Układy przełączające w automatyce. Warszawa WNT 1980.
    [7] W. Traczyk: Układy cyfrowe automatyki. Warszawa WNT 1974.
    [8] F. Wagner: Projektowanie urządzeń cyfrowych. Warszawa WNT 1978.
    [9] W. Majewski: układy logiczne. Warszawa WNT 1974.

    Do góry